码迷,mamicode.com
首页 >  
搜索关键字:modelsim    ( 209个结果
利用cordic 算法产生正/余弦波形
引言:本文旨在通过该算法产生正余弦波形(不使用IP核)。 一。仿真波形 如上所示,dout_sin 和dout_cos 通过补码形式输出三角函数的值,其中使用dout_vld指示信号的有效性。 二。代码下载 点我下载,然后自己加入到modelsim中就可以仿真了。 信号说明: 如上所示,只要给din ...
分类:编程语言   时间:2018-06-15 20:08:16    阅读次数:205
Vivado级联Modelsim仿真Re-launch问题
前两天在群里看到有朋友说Vivado级联Modelsim仿真出现修改设计代码后重新run do文件,波形没有随着代码修改而改变,这个问题博主之前没有注意到,因为把Vivado和Modelsim级联好后还没有试过仿真过,不过用ISE级联好后,修改设计代码,可以直接重新run do文件进行仿真,不必关闭 ...
分类:其他好文   时间:2018-05-17 13:47:24    阅读次数:499
Check the NativeLink log file
今天用Quartus ii16.1仿真Cyclone IV的IP核DDR2,总是报上面的错误 。网上都说是modelsim路径的问题, 但我确定不是。最后用QaurtusII 12.1可以通道仿真。 于是根据提示查到相关的文件,发现一句大概是不能找到Cyclone iii库的意思。 个人感觉Cycl ...
分类:其他好文   时间:2018-05-11 23:52:54    阅读次数:564
Quartus系列:Quartus II 调用ModelSim仿真调试
1.新建一个项目过程参考" Quartus II 创建工程流程",在该流程第5步时,选在EDA Tool的窗口时,选择第三方的EDA工具以及设计使用的设计语言,如下图所示: 设置完成后,按照之前流程直到项目创建成功. 2.创建成功后,对设计进行编译,确认设计编译正确,即可进入下一环节设置测试平台.编 ...
分类:其他好文   时间:2018-05-10 21:47:16    阅读次数:212
Quartus系列:Quartus II 原理图调用ModelSim进行仿真
1.新建一个工程,创建一个原理图文件,并在其中进行原理图电路绘制,本例以一个2输入与门为例,如下图所示: 绘制好电路图后,保存绘制好的电路至新建的工程,如下图所示: 因为原理图文件ModelSim等第三方仿真器并不识别,所以需要将其输出为Veilog或者VHDL文件,操作如下: 点击当前选项后弹出如 ...
分类:其他好文   时间:2018-05-10 21:46:39    阅读次数:2221
Modelsim仿真.do脚本示例
#“#”为注释 #删除原有工程,需重启Modelsim #vdel -all -lib work #退出当前仿真 quit -sim #清空命令行显示 .main clear #创建库,是实际存在的物理库 vlib ./lib vlib ./lib/design_workvlib ./lib/sim ...
分类:其他好文   时间:2018-05-10 18:13:51    阅读次数:660
搭建Modelsim SE仿真环境-使用do文件仿真
摘要: 本章我们介绍仿真环境搭建是基于ModelsimSE的。Modelsim有很多版本,比如说Modelsim-Altera,但是笔者还是建议大家使用Modelsim-SE,Modelsim-Altera实际是针对Altera 的OEM版本,它事先将Altera的一些IP核仿真库添加到了工具中,但 ...
分类:其他好文   时间:2018-04-17 11:50:51    阅读次数:199
Modelsim设置数据以模拟波形显示
选中希望以模拟波形显示的信号,右击选择format—>Analog(automatic) 如果你的数据是用无符号数表示一个完整的波形的,那么可能显示出来的波形样子是下面的样子,不过不要紧,这是因为modelsim默认是按照有符号数据解析波形数据的缘故,我们可以再进行一次设置修改。 选中希望显示波形的 ...
分类:其他好文   时间:2018-04-15 13:43:12    阅读次数:599
(转)modelsim-win64-10.1c的安装
https://www.cnblogs.com/yang-zcybb/p/5259237.html (1)modelsim-win64-10.1c的安装 我使用的系统是win10 64位专业版,在我的机器上成功安装并运行了modelsim,下面我说一下安装方法 1 运行modelsim-win64- ...
分类:Windows程序   时间:2018-04-07 13:57:49    阅读次数:316
Modelsim中使用TCL脚本编写do文件实现自动化仿真
通常我们使用Modelsim进行仿真,是通过图形界面点点点来进行操作,殊不知Modelsim完美支持TCL脚本语言及批处理命令do文件。简单来说就是从你修改完代码后到你重新编译把需要的信号拉出来查看,现在只需要一个动作即可完成。大大提高了工作效率,博主之前也是点点点了好久,最后发现有这么好的仿真技巧 ...
分类:其他好文   时间:2018-04-01 13:12:27    阅读次数:259
209条   上一页 1 2 3 4 5 6 ... 21 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!