码迷,mamicode.com
首页 >  
搜索关键字:数字电路    ( 247个结果
SR latch D latch D filp-flop SR触发器 D触发器 D双稳态多谐震荡器 【数字电路】
SR  latch D latch D filp-flop SR Latch 典型的SR 触发器就长上面那样啦 不要以为简单,酒吧 S R Q Q’ 之间的相对位置关系记错了! 组成的元素很简单——或非门,但是实现的功能却很重要 SR是两个输入端口,Q 和 Q' 是输出端口 不要慌...
分类:其他好文   时间:2014-06-02 23:00:20    阅读次数:572
74LS85 比较器 【数字电路】
74LS85 demo: 1110>0111 就这样。。。。于是OAGTB引脚输出高电平点亮LED灯...
分类:其他好文   时间:2014-06-01 15:56:25    阅读次数:426
74LS183 加法器 【数字电路】
74LS183 搭的一个还有点意思的加法电路,串行进位的 2+6 == 8 大家都懂的哈哈...
分类:其他好文   时间:2014-06-01 14:56:02    阅读次数:1741
逻辑函数的化简 【数字电路】
逻辑函数的化简 先补点各种门的 basic knowledge NAND 与非 NOR或非 XOR异或 XNOR 同或 对于同或,异或之前一直没搞明白。。。。那个该死的标记老是混淆,也不知道为嘛标记的发明人为嘛要那么标记 。。。现在知道了XOR。。。所以异或的标记是一个圈中间一把×                   ...
分类:其他好文   时间:2014-06-01 10:28:14    阅读次数:251
74LS148 编码器 【数字电路】
74LS148 datasheet说的很清楚。 解码输入,并且确保高位优先级顺序,位数越高,优先级越高。把把个输入解码成3个输出。 其实很简单。Don't panic! 注意这个输入输出。        所谓的优先编码,救是看你的输入,只要给定的位进行编码,低于该位不管是什么电平信号都无所谓。这就是所谓的优先         ...
分类:其他好文   时间:2014-06-01 06:33:38    阅读次数:377
LoNg wAy tO Go
觉得一个电子工程师/硬件工程师应该有下面的能力: 1、模拟/数字电路的分析和设计。教科书上讲的都应该会,包括分离元件和运放的信号放大,滤波,波形产生,稳压电源,逻辑化简,基本触发器,基本计数器、寄存器,脉冲产生和整形,ADC、DAC,锁相环等。要能定性和定量的分析和设计电路的功能和性能,比如说稳定性...
分类:其他好文   时间:2014-05-08 13:03:01    阅读次数:494
VHDL与Verilog硬件描述语言TestBench的编写
VHDL与Verilog硬件描述语言在数字电路的设计中使用的非常普遍,无论是哪种语言,仿真都是必不可少的。而且随着设计复杂度的提高,仿真工具的重要性就越来越凸显出来。在一些小的设计中,用TestBench来进行仿真是一个很不错的选择。VHDL与Verilog语言的语法规则不同,它们的TestBe.....
分类:其他好文   时间:2014-05-01 10:15:20    阅读次数:382
247条   上一页 1 ... 23 24 25
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!