码迷,mamicode.com
首页 > 其他好文 > 详细

vivado debug

时间:2015-08-25 13:19:18      阅读:472      评论:0      收藏:0      [点我收藏+]

标签:

VHDL :
             signal wv_data_flash : std_logic_vector(63 downto 0) ; 
              attribute mark_debug: string

              attribute mark_debug of wv_data_flash : signal is "true";

VERILOG

             (* mark_debug = "true"* ) reg  fval_out_tmp ;

 

vivado debug

标签:

原文地址:http://www.cnblogs.com/love29850706/p/4756565.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!