码迷,mamicode.com
首页 > 其他好文 > 详细

关于用modelsim仿真的过程|自用

时间:2015-12-26 16:38:19      阅读:175      评论:0      收藏:0      [点我收藏+]

标签:

打开modelsimSE-64 10.1c

新建一个project 相应起个工程名 安放的位置等基础操作

建一个Verilog文件,即仿真的对象,file>source>verilog。

再建一个仿真文件,即***.tb,方法也是建一个verilog空文件,点菜单栏上的source>show language templates..即模板。

点击左侧testbech,选择仿真目标文件 next> finish.在新生成文件中添加相应的激励 一般是#TIME a=0;之类的...。

写好保存编译complie all.

接下来进入仿真

  点simulate>根据提示选择写好的**.tb文件.optimation优化选项可以不勾选。

    ADD>to wave>第一个选项

  接下来就点击F9 (run)开始仿真。run旁边的时间是显示观察的长度...可以更改!

然后波形就出现了!

关于用modelsim仿真的过程|自用

标签:

原文地址:http://www.cnblogs.com/express/p/5078259.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!