码迷,mamicode.com
首页 > 其他好文 > 详细

(转)UVM内容梗概

时间:2016-04-18 22:32:15      阅读:981      评论:0      收藏:0      [点我收藏+]

标签:

1. 类: 面向对象基础传统的面向对象编程与systemverilog类的特点,类的定义,成员与方法,构造函数,对象的复制和深/浅拷贝,父类/子类关系,类的继承,作用域的规则,对象的句柄以及赋值,方法的扩展和重写,local/protected关键字,虚基类,虚方法,虚接口。

2. UVM基础 :UVM的发展历史,可配置的测试组件,类库结构,UVM核心基类的介绍,include/macro,UVM交易(data),基本测试组件 (testbench components),组件的phase结构,交易级建模,testcase,使用+uvm_testname 命令行运行测试,UVM测试平台的运行与终止,objection机制。

3. UVM的transaction类 transaction/components推荐定义的标准格式,uvm_sequence_item与uvm_transaction,field micros和field micro flag,transaction的(受限)随机化,标准的transaction方法,方法的重写。

4. UVM驱动类组件(uvm_driver,uvm_sequencer,uvm_agent,uvm_env) ,UVM组件的注册方法,interface在连接DUT及各个组件中的应用,驱动类组件的结构和定义,构造函数,各组件的连接。

5. UVM monitor/checker: monitor/checker的结构及其在测试平台中的作用,scoreboard, functioanal coverage的应用。

6. UVM TLM(Transaction Level Modeling) TLM port/export, get/put/transport操作,analysis端口,`uvm_analysis_imp_decl宏的使用,uvm_tlm_fifo的实际应用。

7. UVM的config/factory/callback机制 config格式,set/get使用规则,工厂模式简介,UVM工厂模式使用步骤,回调模式,callback在UVM中的应用 

8. sequence generation sequence标准形式,sequence与sequencer/driver连接,产生数据并发往DUT, 复杂sequence的级联,virtual sequence/sequencer。

9. 寄存器的验证寄存器验证基本结构,寄存器模型结构,寄存器访问方式(前门/后门)

 

参考文献:

原文地址:http://www.asicdv.com/about.asp?typesA=3

(转)UVM内容梗概

标签:

原文地址:http://www.cnblogs.com/dpc525/p/5406033.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!