码迷,mamicode.com
首页 > 其他好文 > 详细

VCS仿真生成VPD文件

时间:2016-10-22 17:05:53      阅读:459      评论:0      收藏:0      [点我收藏+]

标签:nap   gcj   ftl   lex   cpi   jci   base64   vdi   psi   

VCS仿真生成VPD文件

一、环境与文件

技术分享

 

二、开始仿真

1、compiler

vcs -full64 -f file.f  -debug_pp  +vcd+vcdpluson

参数解释

  • file.f 是你的设计文件索引

      技术分享

  • +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成 名为vcdpluson.vpd的文件

可以使用使用dve查看波形

dve –vpd vcdpluson.vpd

 

技术分享

 

 

 

 

 

VCS仿真生成VPD文件

标签:nap   gcj   ftl   lex   cpi   jci   base64   vdi   psi   

原文地址:http://www.cnblogs.com/OneFri/p/5987673.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!