码迷,mamicode.com
首页 > 其他好文 > 详细

单口RAM、双口RAM、FIFO

时间:2014-08-14 10:27:58      阅读:309      评论:0      收藏:0      [点我收藏+]

标签:style   blog   http   strong   数据   2014   log   size   

单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。
    双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xilinx称为true two-dual RAM)。伪双口RAM,一个端口只读,另一个端口只写;而双口RAM两个端口都可以读写。
    FIFO也是一个端口只读,另一个端口只写。FIFO与伪双口RAM的区别在于,FIFO为先入先出,没有地址线,不能对存储单元寻址;而伪双口RAM两个端口都有地址线,可以对存储单元寻址。
    异步时钟域的缓存只要是双口器件都可以完成。但FIFO不需对地址进行控制,是最方便的。

bubuko.com,布布扣

 

bubuko.com,布布扣

单口RAM、双口RAM、FIFO,布布扣,bubuko.com

单口RAM、双口RAM、FIFO

标签:style   blog   http   strong   数据   2014   log   size   

原文地址:http://www.cnblogs.com/chasing/p/3911837.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!