码迷,mamicode.com
首页 > 其他好文 > 详细

VCS仿真命令

时间:2017-12-07 23:48:47      阅读:829      评论:0      收藏:0      [点我收藏+]

标签:line   ilo   很多   command   file   sys   verilog   debug   vcs   

1,建立文件vcs_run_cmd,里面的内容如下:

vcs +v2k -v2005 -debug_access+all -lca -sverilog -f filelist -l vcs_comp.log

#below for command line run mode

simv -ucli -do wave.do+fsdbfile+pos_det.fsdb

#below for gui run mode

./simv -gui

#below for open verdi

verdi -f filelist -sv -2005 +systemverilog &

 注:

1,开verdi的时候,如果不加后面的 -sv -2005 +systemverilog,则很多systemverilog的语法verdi是不认的,verdi默认是加载verilog的语法。

2,filelist里可以将设计代码文件和testbench代码文件全部包含进来。

 

2,wave.do里面的内容如下:

fsdbDumpvars 0 TBplatform

run100us

exit

 

VCS仿真命令

标签:line   ilo   很多   command   file   sys   verilog   debug   vcs   

原文地址:http://www.cnblogs.com/zeushuang/p/8001334.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!