码迷,mamicode.com
首页 > 其他好文 > 详细

UVM中启动sequence方法总结

时间:2017-12-14 23:55:14      阅读:790      评论:0      收藏:0      [点我收藏+]

标签:方法总结   本质   virtual   pre   div   原型   wrapper   test   通过   

方法有三:

  1. 通过sequnce.start的方式启动
  2. 通过`uvm_do系列宏来启动
  3. 通过default sequence来启动

下面简单地展开说明.

通过sequence.start方式启动:

start方法是“uvm_seuquence_base”类中的一个task。

原型为:virtual task start (uvm_sequencer_base sequencer,

            uvm_sequence_base parent_sequence = null,

            int this_priority = -1,

            bit call_pre_post = 1);

参数解释:

sequencer: 如果被启动的sequence(调用start方法的sequence)中要产生transaction, 那么必须要通过第一个参数来指明这个transaction要发给那个sequencer。

parent_sequence : 指明start 方法中,需要调用那个sequence的pre_body() task和 post_body() task。

this_priority : 指明产生transaction的优先级,sequencer在某些条件下会根据优先级来将transaction发送给sequence。

call_pre_post :如果为1, 则调用 start 方法中的 pre_do() task, mid_do() func, post_do() func。这个三个do 方法是该sequence的方法。

意义:start方法是uvm中启动sequence最本质、最根本的方法。后面说到两种方法最终还是调用start方法来启动sequence。

 

通过`uvm_do系列宏来启动 :

如果给`uvm_do传的第一个参数是sequence是,则最终调用sequence.start(SEQR, this, PRIORITY, 0)。SEQR, PRIROTY参数来自`uvm_do_on_pri_with(SEQ_QR_ITEM, SEQR, PRIORITY, CONSTRAINS)。

 

通过default_sequence启动:

方法是:在test_case中的build_phase中,通过uvm_config_db#(uvm_object_wrapper)::set( pointer, "tree_path.phase_name", "default_sequence", sequence::type_id::get());

或者 uvm_config_db#(sequence_type)::set( pointer, "tree_path.phase_name", "default_sequence", sequence_pointer);

最终还是调用start来启动。

参数说明:

tree_path : 指向sequencer的uvm 树路径

phase_name: 必须是task phase。run_phase + 12 个task_phase.

UVM中启动sequence方法总结

标签:方法总结   本质   virtual   pre   div   原型   wrapper   test   通过   

原文地址:http://www.cnblogs.com/htaozy/p/8040504.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!