码迷,mamicode.com
首页 > 其他好文 > 详细

三叔学FPGA系列之1:Cyclone V中的时钟资源

时间:2018-11-01 13:40:06      阅读:188      评论:0      收藏:0      [点我收藏+]

标签:全局   芯片   帮助   模块   isp   引脚   现在   技术分享   span   

 


 

之前的项目中更多的是有师兄提供经验和帮助,追求的是快速上手,所以不管对于硬件电路设计,还是verilog电路编程,甚至是FPGA内部的资源,都没来得及系统地学习,最近在做算法到电路的实现,正好系统学习,将感悟记于此,如有错误,欢迎指出、讨论。

 


 

一、关于时钟引脚

FPGA芯片一般有好几组时钟引脚 CLK [0..N] [p,n],我的理解是:首先,时钟必须由外部晶振通过CLK引脚输入到FPGA的时钟网络,至于选用哪一组CLK,主要看FPGA哪个bank对时钟要求最为苛刻;其次,一般用p端,n端由quartus置位三态;再次,对于简单的系统,只有一组CLK输入作为系统主时钟,其他时钟引脚空置,或者作为某些高带载能力的时钟的输出口(是否可作为输出口,要看芯片手册);然后,复杂系统,可以有不同源的时钟分别从不同CLK引脚输入,只是猜想,没实践过,个人认为这样不好,因为FPGA的核心就是同步;另外,不要把CLK引脚和全局时钟、区域时钟什么的混为一谈,一个是时钟输入引脚,一个是内部时钟走线;最后,CLK引脚进去的走线肯定进过优化的(H型铜皮,专用的、直达每个触发器的布线资源),所以能做到小的抖动和偏斜,带载能力

技术分享图片

图1  

                  技术分享图片图2

图1所示:红圈为时钟输入引脚,当然,从时钟引脚输入的时钟必定首先进入全局时钟网络,再进一步驱动区域时钟,或者由PLL进行分/倍频==;三个黑色方框内PLL输出引脚,我的理解是:这几组引脚的布线也经过了优化,可以使PLL分/倍频后输出的时钟信号质量较好。

图2所示:CLK9p作为系统唯一的外部输入时钟源,需要注意,单端输入时,只有p端是与内部PLL相连的;CLK1[p,n]作为差分时钟输出引脚,由内部PLL驱动,输出作为AD时钟。

二、内部时钟资源

全局时钟(GCLK)网络、区域时钟(RCLK)网络、外设时钟(PCLK)(一般出现在集成了某些外设的FPGA中,个人理解)网络

  1. 全局时钟:FPGA内部的专用全局时钟布线资源(图4上),具有直达每一个触发器的能力,且布线资源经过优化,时钟经过它传输后具有高扇出、最小的偏斜和抖动等。但也因为是全局布线相较于区域时钟,会有较大的插入延时(手册也这么说),而且资源较少(且用且珍惜)。全局时钟网络:1)可由CLK引脚、内部PLL、高速串口(HSSI)、普通逻辑电路产生的时钟(一般不这么干)驱动;2)可驱动所有输出引脚(尤其是CLK引脚,输出作为其他芯片的基础时钟)、内部PLL、区域时钟。
  2. 区域时钟:只在他们自己所在的区域有效(图4下),相当于区域内的全局时钟,在区域内,具有全局时钟的优点,且插入延时比全局时钟小,布线资源也比较多。区域时钟网络:1)可由CLK引脚(注意区域)、内部PLL、高速串口(HSSI)、普通逻辑电路产生的时钟(一般不这么干)驱动;2)可驱动所有输出引脚(尤其是CLK引脚,输出作为其他芯片的基础时钟)、内部PLL。
  3. 外设时钟:没用到,查手册。

技术分享图片图3 

 技术分享图片

图4

******注意******

  1. 常规操作:外部晶振—>时钟引脚—>PLL—>区域时钟、各个模块、输出(待验证和完善,我也迷)
  2. 关于CLK引脚选择:不是所有的CLK引脚都可以连接到所有的全局/区域时钟网路,见图5。
  3. 关于PLL:不是所有始终都可以驱动PLL,详见手册,如图6所示。

 

技术分享图片

图5

 

技术分享图片

图6      

三、时钟资源的使用、分配

疑问:编写逻辑电路,或者布局布线时,我怎么知道某个时钟具体使用了哪种、哪个网络?以及怎么人为地控制使用具体某个网络?

 

未完待续.....

微信 Alasica,欢迎讨论学习。

三叔学FPGA系列之1:Cyclone V中的时钟资源

标签:全局   芯片   帮助   模块   isp   引脚   现在   技术分享   span   

原文地址:https://www.cnblogs.com/zuilangsanshu/p/9888608.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!