码迷,mamicode.com
首页 > 其他好文 > 详细

VIVADO(5)

时间:2020-06-08 23:24:34      阅读:80      评论:0      收藏:0      [点我收藏+]

标签:post   技术   log   syn   physical   pow   size   code   pre   

矮人看戏何曾见,都是随人说长短      

                                                            --------------赵翼

Directive:

opt_design (Logic optimization) 逻辑优化

power_opt_design (Power optimization)  功耗优化

place_design (Placement)  布局

phys_opt_design (Physical synthese) 物理综合  优化

route_design (Routing)  布线

write_bitstream (Generate a  Bitstream)

Implementation strategy

技术图片

 

 -place_design 

-post_place_opt

技术图片

 

 -route_design

- preserve

-delay

-max_delay

-min_delay

技术图片

 

 -phys_opt_design

VIVADO(5)

标签:post   技术   log   syn   physical   pow   size   code   pre   

原文地址:https://www.cnblogs.com/alke-95/p/13069234.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!