码迷,mamicode.com
首页 > 其他好文 > 详细

VSCode中设置Verilog编程环境

时间:2021-03-17 14:51:16      阅读:0      评论:0      收藏:0      [点我收藏+]

标签:rsa   tar   image   拷贝   psd   spec   targe   ref   http   

1. 下载安装iVerilog

2. 在VSCode中安装插件"Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code"

3. 下载ctags,解压后存放在合适的位置,拷贝文件夹中"ctags.exe"的路径,后面需要将此路径复制到上述Verilog插件的设置中

4. VSCode中的设置:File -- Preferences -- Settings进入Verilog插件的设置

技术图片 5. 语法错误会有波浪线提示,目前似乎没有比较好的方法解决不同文件之间例化不能识别的问题,暂时忽略

技术图片

 上述方法很适合教学,可以让源程序和测试程序对照。

 

参考来源:https://oopsdump.com/blog/2020/01/vscode%E5%8A%A0iverilog%E7%8E%AF%E5%A2%83/

VSCode中设置Verilog编程环境

标签:rsa   tar   image   拷贝   psd   spec   targe   ref   http   

原文地址:https://www.cnblogs.com/Epiapoq/p/14545500.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!