码迷,mamicode.com
首页 > 其他好文 > 详细

$stop and $finish in verilog

时间:2015-02-13 16:05:12      阅读:220      评论:0      收藏:0      [点我收藏+]

标签:

$stop - Pauses the simulation, so you can resume it by using fg command in linux. In this case lincense will not be released and process also is not killed, consuming memory.

$finish - Simulation is finished, so releasing of license and killing the process will be done.

$finish;
    Finishes a simulation and exits the simulation process.
$stop;
    Halts a simulation and enters an interactive debug mode.

$stop : Undesired termination of the simulation. All the system activities are suspended.

$finish : Used to relieve the compiler.

 

$finish exits the simulation and gives control back to the operating system.

$stop suspends the simulation and put interactive mode.

 

s a simulator in an

 技术分享

 

技术分享

$stop and $finish in verilog

标签:

原文地址:http://www.cnblogs.com/hfyfpga/p/4290283.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!