码迷,mamicode.com
首页 > 其他好文 > 详细

RTL Compiler之synthesis flow

时间:2015-06-25 23:01:07      阅读:276      评论:0      收藏:0      [点我收藏+]

标签:

1  generic RTL Compiler work flow

   技术分享

 2  invoking RTL compiler

   RTL Compiler is invoked from the operating system prompt through the rc command. 

rc [-32 | -64 | -32only | -64only | -3264 | -6432] 
  [-quiet3264] [-debug3264] [-plat  platform ] [-v3264] 
  [-help3264][-bg] [-dft] [-E] [-del_scale 10]
  [-execute  command ] [-files  file ] [-post  command ]
  [-db  file ] [-db_script  file ]
  [-display  string ] [-gui | -nogui] [-no_custom]
  [-cmdfile  file ] [-logfile  log_file ] [-overwrite]
  [-lsf_cpus  integer ] [-lsf_queue  string ] 
  [-N  integer ] [-version]
  [-queue] [-wait  integer ] [-ctos] [-rcl] [-rcp] [-vdi] [-vdi_xl]
  [-use_license { C_to_Silicon_Compiler_L
    | RTL_Compiler_L | RTL_Compiler_Physical 
    | RTL_Compiler_Ultra | RTL_Compiler_Verification 
    | Virtuoso_Digital_Implem | Virtuoso_Digital_Implmen_XL}] ...

 

3  Example

  type "rc -ve" under linux Terminal

  ouput :  Program Name: Encounter(R) RTL Compiler, Version: RC14.22 - v14.20-s016_1 (64-bit)

-gui     Starts RTL Compiler with the Graphical User Interface (GUI) visible.

-version/-ve   Returns the version number without launching the executable.

 

RTL Compiler之synthesis flow

标签:

原文地址:http://www.cnblogs.com/mengdie/p/4601069.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!