码迷,mamicode.com
首页 > 其他好文 > 详细

Vivado中的那些Bug

时间:2015-07-24 12:02:56      阅读:97      评论:0      收藏:0      [点我收藏+]

标签:

将a Latch一级

wire a;
reg en;
always @ (posedge clk)
  en = a;

reg [3:0] cnt;
always @ (posedge clk or posedge rst)
  if (rst)
    cnt <= 4’d0;
  else if (en)
    cnt <= cnt + 4’d1;

技术分享

 

wire a;
reg en;
always @ (posedge clk)
  en <= a;

reg [3:0] cnt;
always @ (posedge clk or posedge rst)
  if (rst)
    cnt <= 4’d0;
  else if (en)
    cnt <= cnt + 4’d1;

技术分享

 

结论:如果信号想要用clk敲出来,一定要用<=,如果用=敲出来可能会有意想不到的结果(至少仿真是这样的。)

Vivado中的那些Bug

标签:

原文地址:http://www.cnblogs.com/myfudream/p/4672736.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!