码迷,mamicode.com
首页 >  
搜索关键字:xilinx    ( 376个结果
Xilinx FPGA控制器的Everspin STT-DDR4设计指南
为了使设计人员能够快速集成ST-DDR4支持,该过程从Xilinx Vivado开发环境中生成的现有8Gb DDR4 SDRAM-2666存储器接口生成器(MIG)开始
分类:其他好文   时间:2020-01-21 09:26:06    阅读次数:78
解决错误APB AP transaction error, DAP status f0000021
在XSCT里执行命令,复位7020的CPU0时,遇到错误信息“APB AP transaction error, DAP status f0000021”。再下载U_boot.elf,也失败。 根据https://www.xilinx.com/support/answers/68987.html, ...
分类:其他好文   时间:2020-01-20 17:29:41    阅读次数:352
zynq板卡学习资料:基于zynq XC7Z100 FMC接口通用计算平台367
基于zynq XC7Z100 FMC接口通用计算平台 一、板卡概述 本板卡基于Xilinx公司的FPGA XC7Z100 FFG 9000 芯片, 该平台为设计和验证应用程序提供了一个完整的开发平台。该平台使设计师能够更加简单进行高性能的原型设计,并且通过FMC HPC扩展槽提供可扩展性和满足客户定 ...
分类:其他好文   时间:2020-01-17 17:29:05    阅读次数:130
FPGA V7卡学习资料:VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡270
VC709E 增强版 基于FMC接口的Xilinx Vertex-7 FPGA V7 XC7VX690T PCIeX8 接口卡 一、板卡概述 本板卡基于Xilinx公司的FPGA XC7VX690T-FFG1761 芯片,支持PCIeX8、64bit DDR3容量2GByte,HPC的FMC连接器, ...
分类:其他好文   时间:2020-01-17 16:20:01    阅读次数:123
FPGA之IO信号类型深入理解
在FPGA设计开发中,很多场合会遇到同一根信号既可以是输入信号,又可以是输出信号,即IO类型(Verilog定义成inout)。 对于inout型的信号,我们既可以使用FPGA原语来实现,也可以使用Verilog代码来实现。下面将介绍在Xilinx 7系列FPGA上两种实现方式的差别和注意点。 不管 ...
分类:其他好文   时间:2020-01-03 12:23:29    阅读次数:110
Xilinx FGPA 上板调试 集成逻辑分析工具 Integrated Logic Analyzer(ILA) 简单配置
Xilinx Vivado 提供了上板后的FPGA逻辑分析,信号视图显示等功能。 需要注意,上板后查看信号需要重新综合,并且需要耗费一定的片上布局布线资源。 1. 添加debug信号 可以对模块端口或者wire 变量进行debug信号提取,只要在verilog代码前面添加:(* MARK_DEBUG ...
分类:其他好文   时间:2019-12-06 21:17:01    阅读次数:124
米尔XC7Z010开发板资源
关于XC7Z010开发板 详细介绍http://www.myir-tech.com/product/myc_C7Z010_20.htm Xilinx基于28nm工艺流程的Zynq-7000 All Programmable SoC平台是ARM处理器和FPGA结合的单芯片解决方案,十分适合既需要FPG ...
分类:其他好文   时间:2019-11-28 19:26:47    阅读次数:155
Xilinx源语-------FDRE
1、源语 FDRE FDRE代表一个单D型触发器,含的有五个信号分别为: 数据(data,D)、时钟使能(Clock enable,CE)、时钟(Clock)、同步复位(synchronous reset,R)、数据输出(dataout,Q)。当输入的同步复位信号为高时,否决(override)所有 ...
分类:其他好文   时间:2019-11-22 20:57:34    阅读次数:636
[FPGA] 原语的学习
1、原语对于FPGA就好比,是xilinx公司给用户提供的库函数, 2、原语放在ISE的哪个文件夹下? D:\laboratory\ISE\14.7\ISE_DS\ISE\doc\usenglish\isehelp下,有个压缩包叫7_Series_Library_Guide_14.6_HDL_Tem ...
分类:其他好文   时间:2019-11-21 13:52:29    阅读次数:94
xilinx FPGA全局时钟资源的使用
1.什么是xilinx fpga全局时钟资源 时钟对于一个系统的作用不言而喻,就像人体的心脏一样,如果系统时钟的抖动、延迟、偏移过大,会导致系统的工作频率降低,严重时甚至会导致系统的时序错乱,实现不了预期的逻辑功能。xilinx fpga内的全局时钟资源可以很好的优化时钟的性能,因此在设计时要尽可能 ...
分类:其他好文   时间:2019-11-20 15:34:33    阅读次数:144
376条   上一页 1 2 3 4 5 6 ... 38 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!