码迷,mamicode.com
首页 > 其他好文 > 详细

数据发送模块---基于地址的检测(verilog代码)

时间:2020-05-15 22:57:24      阅读:95      评论:0      收藏:0      [点我收藏+]

标签:version   min   com   add   else   dev   verilog   div   efault   

数据发送模块---基于地址的检测(verilog代码)

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: chensimin
// 
// Create Date: 2020/04/24 15:21:43
// Design Name: 
// Module Name: send_data
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////


module send_data(

    input  wire                 clk,
    input  wire                 rst,
    input  wire    [31 : 0]     address,
    input  wire                 valid,
    output reg     [31 : 0]     data
    );


always @(posedge clk or posedge rst)
begin
    if(rst)
        data <= 0;
    else if(valid)
    begin
        case(address)
            32h40000000 :  data <= 6;
            32h40000004 :  data <= 7;
            32h40000008 :  data <= 8;
            default      :  data <= 0;
        endcase
    end
end

endmodule

 

数据发送模块---基于地址的检测(verilog代码)

标签:version   min   com   add   else   dev   verilog   div   efault   

原文地址:https://www.cnblogs.com/chensimin1990/p/12897715.html

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!