码迷,mamicode.com
首页 > 其他好文 > 详细

使用Tcl脚本分配FPGA管脚

时间:2014-06-15 08:49:23      阅读:229      评论:0      收藏:0      [点我收藏+]

标签:style   class   code   http   tar   ext   

自动生成Tcl文件

Project -> Generate Tcl File for Project...

弹出如下对话框,设置脚本路径。

bubuko.com,布布扣

编辑引脚

使用set_location_assignment分配管脚如下:

bubuko.com,布布扣

第一次配制时,没有set_location_assignment语句,自已在set_global_assignment语句下一行添加即可。

执行Tcl脚本

Tools -> Tcl Scripts...

bubuko.com,布布扣

选中刚新建的Tcl文件,点击Run运行即可!

答疑解惑

1. 为什么Tcl中没有为每个引脚分配输入输出属性,也能保证引脚属性正确?

因为引脚输入输出属性都已经在Verilog语言的module中或原理图中设置了,如下图,

bubuko.com,布布扣bubuko.com,布布扣

使用Tcl脚本分配FPGA管脚,布布扣,bubuko.com

使用Tcl脚本分配FPGA管脚

标签:style   class   code   http   tar   ext   

原文地址:http://blog.csdn.net/xiahouzuoxin/article/details/30462805

(0)
(0)
   
举报
评论 一句话评论(0
登录后才能评论!
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!