码迷,mamicode.com
首页 >  
搜索关键字:vhdl    ( 153个结果
FPGA学习记录1——Modelsim和Quartus II初步了解
##Quartus ii13.0 ###quartus ii13.0功能介绍 Quartus II是Altera公司于推出一款综合性PLD/FPGA开发软件,内置强大的综合器和仿真器,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计文件的输入,可轻松完成从设计输入到硬件配置的整个PL ...
分类:其他好文   时间:2020-09-17 21:41:03    阅读次数:37
【FGPA】VHDL实验
《FPGA原理与设计》课程实验教学大纲 一、实验的目的与任务: FPGA原理与设计是电子信息类和电气类专业本科生的一门学科选修课程,FPGA原理与设计实验是学习本课程的一个重要环节。通过本课程的教学,使学生了解VHDL语言的特点,掌握Quartus II的使用方法,掌握VHDL基本语法及常用的逻辑电 ...
分类:其他好文   时间:2020-06-25 19:14:57    阅读次数:97
三、VHDL语言基础
目录: 1 VHDL简介 1.1 历史 1.2 产生原因 2 VHDL的基本结构 2.1 库和程序包 2.1.1 库 2.1.2 程序包 2.2 实体 2.2.1 类属 2.2.2 端口 2.3 结构体 2.3.1 结构体的作用和特点 2.3.1.1 作用? 2.3.1.1 特点 2.3.2 结构体 ...
分类:编程语言   时间:2020-06-24 00:40:27    阅读次数:309
【FPGA】VHDL基础
对象 Constant(常量),Variable(变量),Signal(信号) 语法规则 1、保留字(int),对象(Architecture),函数,过程(process)组成的。 2、大小写不敏感 3、句末用“;”结束 4、对空格键不敏感 5、用--来注释 命名和标签 1、所有名字(字母数字下划 ...
分类:其他好文   时间:2020-06-18 11:18:09    阅读次数:80
VIVADO(1)
VIVADO 流程: input:verilog/VHDL/System Verilog /IP/DSP/uP; synthesis:synth_design、report_timing_summary; implementation:opt_design、place_design、route_de ...
分类:其他好文   时间:2020-06-03 23:11:48    阅读次数:66
QuestaSim使用及Makefile命令
一、熟悉Linux环境下Questasim EDA Tool及GUI交互操作: 1.Questasim简介: Questasim是由Mentor Graphics公司推出的一款功能强大的仿真工具,支持System C,Verillog,SystemVerilog以及VHDL等硬件描述语言 。它是Mo ...
分类:其他好文   时间:2020-02-09 23:45:25    阅读次数:250
移位寄存器的设计(VHDL)及testbench的编写
移位寄存器是一种常用的存储元件,此处由D触发器构成,如下图所示。 当时钟边沿到来时,存储在移位寄存器的数据朝一个方向移动一个BIT位。 移位寄存器的功能主要为:串并转换,并串转换和同步延迟。 vhdl代码如下: 1 library ieee; 2 use ieee.std_logic_1164.al ...
分类:其他好文   时间:2020-01-22 10:50:05    阅读次数:228
python中的next()以及iter()函数
我们首先要知道什么是可迭代的对象(可以用for循环的对象)Iterable: 一类:list,tuple,dict,set,str 二类:generator,包含生成器和带yield的generatoe function 而生成器不但可以作用于for,还可以被next()函数不断调用并返回下一个值, ...
分类:编程语言   时间:2020-01-05 18:25:14    阅读次数:1076
VCS课时1:仿真事件队列
1. 仿真事件队列 VCS仿真工具怎么处理交给他的代码,VCS支持Verilog、SV、VHDL、C语言 PPT1 CPU的环境的基于指令的,硬件电路和软件的不同,并发执行。怎么通过软件模拟硬件的并发性 IEEE1364: Verilog语言的仿真基于分层的事件队列 执行事件的队列 仿真时间的计算 ...
分类:其他好文   时间:2019-12-18 21:33:00    阅读次数:118
Stratix10 在questasim下仿真环境搭建
1-打开quartus18.1,找到launch simultion library,生成VHDL VERILOG库 2-新建questasim工程,在mpf文件里添加: 并修改 Project_Sim_P_0 = -L {altera_lnsim_ver altera_mf_ver altera_ ...
分类:其他好文   时间:2019-12-17 00:46:18    阅读次数:122
153条   1 2 3 4 ... 16 下一页
© 2014 mamicode.com 版权所有  联系我们:gaon5@hotmail.com
迷上了代码!